CVE-2023-41967

Sensitive information uncleared after debug/power state transition in the Controller 6000 could be abused by an attacker with knowledge of the Controller's default diagnostic password and physical access to the Controller to view its configuration through the diagnostic web pages. This issue affects: Gallagher Controller 6000 8.70 prior to vCR8.70.231204a (distributed in 8.70.2375 (MR5)), v8.60 or earlier.
Configurations

Configuration 1 (hide)

AND
OR cpe:2.3:o:gallagher:controller_6000_firmware:*:*:*:*:*:*:*:*
cpe:2.3:o:gallagher:controller_6000_firmware:*:*:*:*:*:*:*:*
cpe:2.3:h:gallagher:controller_6000:-:*:*:*:*:*:*:*

History

05 Jan 2024, 17:59

Type Values Removed Values Added
Summary
  • (es) Un atacante con conocimiento de la contraseña de diagnóstico predeterminada de Controller 6000 y acceso físico al Controlador para ver su configuración a través de las páginas web de diagnóstico podría abusar de la información confidencial no borrada después de la transición del estado de depuración/encendido en el Controlador. Este problema afecta a: Gallagher Controller 6000 8.70 anterior a vCR8.70.231204a (distribuido en 8.70.2375 (MR5)), v8.60 o anterior.
First Time Gallagher
Gallagher controller 6000 Firmware
Gallagher controller 6000
CPE cpe:2.3:o:gallagher:controller_6000_firmware:*:*:*:*:*:*:*:*
cpe:2.3:h:gallagher:controller_6000:-:*:*:*:*:*:*:*
CWE CWE-212
References () https://security.gallagher.com/Security-Advisories/CVE-2023-41967 - () https://security.gallagher.com/Security-Advisories/CVE-2023-41967 - Vendor Advisory
CVSS v2 : unknown
v3 : 2.4
v2 : unknown
v3 : 4.6

18 Dec 2023, 22:15

Type Values Removed Values Added
New CVE

Information

Published : 2023-12-18 22:15

Updated : 2024-01-05 17:59


NVD link : CVE-2023-41967

Mitre link : CVE-2023-41967

CVE.ORG link : CVE-2023-41967


JSON object : View

Products Affected

gallagher

  • controller_6000_firmware
  • controller_6000
CWE
CWE-212

Improper Removal of Sensitive Information Before Storage or Transfer

CWE-1272

Sensitive Information Uncleared Before Debug/Power State Transition